VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""addr_get:process(clk)beginif clk'event and clk = '1' thenif (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""x1l

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/27 21:48:40
VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator

VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""addr_get:process(clk)beginif clk'event and clk = '1' thenif (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""x1l
VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""
addr_get:process(clk)
begin
if clk'event and clk = '1' then
if (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""
x1l

VHDL if ((conv_integer(sel)mod 2) = '0') can't determine definiton of operator ""=""addr_get:process(clk)beginif clk'event and clk = '1' thenif (conv_integer(sel) mod 2 = '0') then -- can't determine definiton of operator ""=""x1l
去掉‘’ 号,或者该“” 试试