ALTIUM DESIGNER 导入PCB时提示some nets were not able to matched.Try to match these manualy?

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/03 01:23:51
ALTIUM DESIGNER 导入PCB时提示some nets were not able to matched.Try to match these manualy?

ALTIUM DESIGNER 导入PCB时提示some nets were not able to matched.Try to match these manualy?
ALTIUM DESIGNER 导入PCB时提示some nets were not able to matched.Try to match these manualy?

ALTIUM DESIGNER 导入PCB时提示some nets were not able to matched.Try to match these manualy?
兄弟 我也是用AD6的 ,是个菜鸟.发表一下个人意见.
你原先更新过一次PCB,然后你有更改过原理图的某些网络,再次更新的时候就会出现这个问题,在确认封装等没有问题的情况下, 可以将工程中的PCB文件删除,然后新建一个PCB文件,再UPDATE PCB DOCUMENT就行了.

某些元件名字被你改过了,新的网路名和旧的不匹配了,找不到,可以在PCB里删除老的net后再更新PCB

一般不需要手动进行逐个校准,让其自动全部重新分配即可。

我刚刚试了一下,在确认封装等没有问题的情况下, 可以将工程中的PCB文件删除,然后新建一个PCB文件,再UPDATE PCB DOCUMENT就行了 慢慢摸索吧,有些问题很难说请,一起努力O(∩_∩)O哈哈~